[chuck-users] Question regarding Sample level timing.

Ge Wang gewang at CS.Princeton.EDU
Mon Feb 6 11:26:51 EST 2006


Hi Mike!

> impulse i => blackhole;
>
> fun void doit() {
> 	while(true) {
> 		1.0 => i.next;
> 		100::ms => now;
> 	}
> }
>
> now + 1::second => time later;
>
> spork ~ doit();
>
> while(now < later) {
> 	i.last() => float val;
> 	if (val != 0.0) {
> 		<<< now, i.last() >>>;
> 	}
> 	1::samp => now;
> }

Interesting.  There should be exactly 1 sample
of offset, not 2, since chucking to i.next sets
the next sample, which isn't detected by .last()
until after time=1.0, when the sample is actually
generated.

I looked into this and tracked down the "bug"
that added one extra samp's offset to .last.
This has been fixed and committed to CVS, and
will be in 1.2.0.5.  There should be only 1 samp
of offset now.  Thanks for reporting this!

Best,
Ge!


More information about the chuck-users mailing list